GLOBALFOUNDRIES Unveils Industry’s First 28nm Digital Design Flows

GLOBALFOUNDRIES today introduced the industry’s first 28nm silicon-validated signoff-ready digital design flows to help chip designers deliver the next generation of power-sensitive mobile and consumer electronic devices. Developed in collaboration with EDA/IP ecosystem leaders and Continue reading “GLOBALFOUNDRIES Unveils Industry’s First 28nm Digital Design Flows”.

By Nathan Kirsch